Fsm3

From HDLBits

fsm3onehotPrevious
Nextfsm3s

See also: State transition logic for this FSM

The following is the state transition table for a Moore state machine with one input, one output, and four states. Implement this state machine. Include an asynchronous reset that resets the FSM to state A.

StateNext stateOutput
in=0in=1
AAB0
BCB0
CAD0
DCB1

Module Declaration

module top_module(
    input clk,
    input in,
    input areset,
    output out); 
//

    // State transition logic

    // State flip-flops with asynchronous reset

    // Output logic

Fsm3.png

Write your solution here

Upload a source file...